La recherche a retourné 15 résultats

par Keul
26 févr. 2006 20:46
Forum : Expression libre
Sujet : [PUB] Concept innovateur !!!
Réponses : 6
Vues : 4048

Et t'en est à combien là? ^^
par Keul
22 févr. 2006 14:16
Forum : Test
Sujet : Latex
Réponses : 10
Vues : 20972

[tex]\Large\hspace{5}\unitlength{1} \picture(175,100){~(50,50){\circle(100)} (1,50){\overbrace{\line(46)}^{4$\;\;a}} (52,50){\line(125)}~(50,52;115;2){\mid}~(52,55){\longleftar[60]} (130,56){\longrightar[35]}~(116,58){r}~(c85,50;80;2){\bullet} (c85,36){3$-q}~(c165,36){3$q} (42,30){\underbrace{\line...
par Keul
15 déc. 2005 14:25
Forum : Expression libre
Sujet : Modifier la langue française, une nécéssité ou pas ?
Réponses : 8
Vues : 4915

vincevg a écrit :Génial keul :P .

coco espace 34, que penses-tu de simplifier les tenus vestimentaire. Je propose pour tout le monde le sac en toile de jute à 4 trous. Pratique, facile à produire et écologique.
Mais les filels garderont toujours leurs bikini en été au moins? :lol:
par Keul
15 déc. 2005 13:38
Forum : Electronique numérique et logique programmable
Sujet : Projet VHDL : multiplicateur séquentiel
Réponses : 2
Vues : 3229

Plus la peine de vous embêter, j'ai trouvé le problème :)

(en fait, fallais remplacer des "Signal" par "variable")
par Keul
13 déc. 2005 20:22
Forum : Electronique numérique et logique programmable
Sujet : Projet VHDL : multiplicateur séquentiel
Réponses : 2
Vues : 3229

Projet VHDL : multiplicateur séquentiel

Bonjour, un gros problème en VHDL : je n'arrive pas à faire une pauvre addition 'alors que dans un autre exercice à coté, ca fonctionne nickel) en gros, voila les endroits concernés : multiplicand : in std_logic; multiplier : in std_logic; Signal x : std_logic_vector(7 downto 0); Signal y : std_logi...
par Keul
08 déc. 2005 18:19
Forum : Expression libre
Sujet : Modifier la langue française, une nécéssité ou pas ?
Réponses : 8
Vues : 4915

La Commission européenne a finalement tranché : après la monnaie unique, l'Union européenne va se doter d'une langue unique, à savoir... le français. Trois langues étaient en compétition: le français (parlé par le plus grand nombre de pays de l'Union), l'allemand (parlé par le plus grand nombre d'ha...
par Keul
05 sept. 2005 12:17
Forum : Autres logiciels de CAO, réalisation de CI et d'ASIC
Sujet : fabrication de circuit imprimé
Réponses : 28
Vues : 31715

Tiens JP, avec ton matos, tu pourrais en faire pour les amateurs, et donc els revendre pas cher XD
par Keul
05 sept. 2005 12:11
Forum : Expression libre
Sujet : Le téléviseur à 8.6 milliards de couleurs
Réponses : 6
Vues : 4084

Et encore, j'en ai trouvé un qui fait partie de l'autre catégorie

Pendant 10 minutes qu'il m'a dit en PV que ma phrase n'était pas bonne...
Il connait vraiment pas le binaire le gars XD
par Keul
03 sept. 2005 19:15
Forum : Expression libre
Sujet : Le téléviseur à 8.6 milliards de couleurs
Réponses : 6
Vues : 4084

mais elle reste assez précise pour montrer que 8,6 milliards de couleurs sont une abbération.
par Keul
18 févr. 2005 11:29
Forum : Annonces, Vie du Forum & Vie du Site
Sujet : Enregistrez vous pour poster
Réponses : 4
Vues : 4064

et si je parle de pénis enlargement ici, tu me supprime mon message ou tu sait que je susi pas un bot? ^^