La recherche a retourné 4 résultats
Aller sur la recherche avancée
- 16 août 2006 18:38
- Forum : Electronique numérique et logique programmable
- Sujet : implementation de code RLE sur carte FPGA
- Réponses : 2
- Vues : 2664
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RLE is Port ( RLEclk : in STD_LOGIC; RLEreset : in STD_LOGIC; RLEin : in STD_LOGIC_VECTOR(15 downto 0); RLEout : out STD_LOGIC_VECTOR(31 downto 0)); end RLE; architecture architecture_RLE...
- 15 août 2006 18:18
- Forum : Electronique numérique et logique programmable
- Sujet : FPGA hors du Board
- Réponses : 2
- Vues : 2938
Merci bien pour le site c'est trés interresent. :) Sinon, je pense qu'on peut utiliser sans trop de probèmes un FPGA hors board, comme un composant que l'on implante sur un circuit perso. je n'ai pas cette acrobatie en electronique, pouvez vous me donner une demarche (cad des mots clés, des document...
- 12 août 2006 15:53
- Forum : Electronique numérique et logique programmable
- Sujet : FPGA hors du Board
- Réponses : 2
- Vues : 2938
FPGA hors du Board
salut a tous Je suis en train de construire un robot dont une de ces fonctionnalités est commandé par un fpga. Mon code vhdl fonctionne sur le Board (vitrex 2) mais je veux uliliser un nouvel fpga (virtex2) pour le robot. Comment pourrai je faire un circuit qui integre le fpga? tous simplement qulle...
- 12 août 2006 15:22
- Forum : Electronique numérique et logique programmable
- Sujet : Problème de simulation avec Xilinx
- Réponses : 3
- Vues : 4093