La recherche a retourné 115 résultats

par marsu
14 mai 2008 14:56
Forum : Electronique numérique et logique programmable
Sujet : conseils: modèle carte de developpement FPGA
Réponses : 4
Vues : 3496

Les cartes de développement toutes prètes auront certainement beaucoup de gadgets mais je ne sais pas si elles auront assez des CNA/CAN dessus (pour tes entrees/sortie analogique)
Tu n'as pas de contraintes sur les convertisseurs? (8bits, 12bits, ...??)
par marsu
14 mai 2008 14:53
Forum : Electronique numérique et logique programmable
Sujet : "fonction chronomètre" (débutant inside^^)
Réponses : 2
Vues : 2312

En gros tu dois réaliser un télémètre, non?
Tu peux déja voir par ici : http://l.lefebvre.free.fr/tele/tele_01.html ou là : http://www.iutenligne.net/ressources/et ... index.html
Tu dois certainement avoir des réponses pour t'orienter...
par marsu
14 mai 2008 14:49
Forum : Electronique numérique et logique programmable
Sujet : fft en VHDL (avec cf_fft)
Réponses : 3
Vues : 2883

Tu es sur une carte altéra?
par marsu
14 mai 2008 14:47
Forum : Electronique numérique et logique programmable
Sujet : Variiation de niveau sonore pilotée numeriquement
Réponses : 1
Vues : 2007

Tu ne veux pas de composants du tout?
Pourquoi pas des switch?
par marsu
09 mars 2007 16:39
Forum : Programmation
Sujet : lecture de port serie dans test_bench vhdl
Réponses : 1
Vues : 3410

Sous Windows, as tu essayer Hyperterminal ?
Ca te permettra déjà de voir que ton port communique...
par marsu
09 mars 2007 16:36
Forum : Electronique numérique et logique programmable
Sujet : représentation nombre négatif en simulation lors différence
Réponses : 1
Vues : 2139

Au lieu de

Code : Tout sélectionner

use IEEE.STD_LOGIC_UNSIGNED.ALL; 
utilise plutot

Code : Tout sélectionner

use IEEE.STD_LOGIC_SIGNED.ALL; 
UNSIGNED ne permet pas d'utiliser le bit de poids fort en bit de signe.
SIGNED le permet, mais réduit donc l'amplitude positive par deux... (0 à 255 donnera -128 à +127)
Logique...
par marsu
09 mars 2007 16:31
Forum : Electronique numérique et logique programmable
Sujet : nombre a virgule en binaire (VHDL)
Réponses : 16
Vues : 10452

Crées ta propre règle avec mantisse et exposant...
par marsu
04 janv. 2007 9:07
Forum : Expression libre
Sujet : Bonnes fêtes
Réponses : 4
Vues : 3859

BONNE ET HEUREUSE ANNEE A TOUTES ET A TOUS
:fete:
par marsu
04 janv. 2007 9:04
Forum : Expression libre
Sujet : Nom de domaine
Réponses : 7
Vues : 5865

Mais il rajoute malgré tout une petite barre en haut de la page...
:P
par marsu
20 déc. 2006 9:29
Forum : Expression libre
Sujet : Nom de domaine
Réponses : 7
Vues : 5865

Et bien là j'ai trouver un .fr.nf completement gratuit, sans pub VISIBLE, sans rien. Ca modifie juste la source de la page vu en faisant de la pub caché lors du référencement et faire gagner des "points" aux publicitaires c'est tout <p> <a href="http://www.azote.org/" title="nom de domaine">Nom de d...