Probème de compilation sur Quartus II

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

franek
NOUVEAU
NOUVEAU
Messages : 14
Inscription : 25 mai 2005 13:02

Message par franek »

j'ai reussi a complier mon code vhld en entier, et ça marche inpecable
merci beaucoup pour ton aide.

Répondre