VHDL : bus SPI

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

gwendal
HABITUE
HABITUE
Messages : 57
Inscription : 24 janv. 2007 16:52
Localisation : Bruxelles

VHDL : bus SPI

Message par gwendal »

Bonjour,

j'ai besoin de réaliser un bus SPI entre un microcontrôleur et mon FPGA mais je ne sais pas comment le mettre en oeuvre dans le FPGA.

j'ai déjà cosulter la doc de chez Xillinx mais c'est beaucoup trop compliquer.

Est ce que quelqu'un sait où je pourrais trouver le code VHDL simple du Slave ?

merci

Avatar de l’utilisateur
ukandar
REGULIER
REGULIER
Messages : 128
Inscription : 24 mars 2006 20:01
Localisation : yvelines

Message par ukandar »

bonjour,

il existe des sources opensource en vhdl pour concevoir une laison SPI.
tapes opensource vhdl et spi sous ggogle tu devrais trouver.

a+

gwendal
HABITUE
HABITUE
Messages : 57
Inscription : 24 janv. 2007 16:52
Localisation : Bruxelles

Message par gwendal »

merci pour ta reponse, je vais faire ca


Gwendal

Répondre