Test Bench sous Quartus II

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

Vic
NOUVEAU
NOUVEAU
Messages : 4
Inscription : 14 mai 2008 13:51

Test Bench sous Quartus II

Message par Vic »

Bonjour,

Est il possible de faire des simulation a partir d’un fichier « Test_bench.vhd » sous Quartus II 7.2 Web Edition ?
Cela m’arrangerai bien car ç’est pas pratique de dessiner les chronogrammes manuellement et je n’arrive pas a lancer ModelSim.
Merci pour vos réponses.

Med

Re: Test Bench sous Quartus II

Message par Med »

j ai la méme question que vous et j arrive pas a trouver une reponse

Répondre