pb en vhdl sous quartus 2
Publié : 16 mai 2005 9:16
Bonjour,
J'essai de faire un multiplieur sous quartus 2, et j'ai un message d'erreur come quoi il ne trouve pas la définition du "*".
Pourtant il me semble utiliser les bonnes librairies...voici mon code:
avez vs une idée??
________________________________________________
library ieee ;
USE ieee.std_logic_1164.all;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_ARITH.all;
----------------------------------------------------
Entity multiplieur is
port(Qcompt:in std_logic_vector(12 downto 0);
reset:in std_logic;
Qmult:out real);
End multiplieur;
----------------------------------------------------
architecture comportement of multiplieur is
variable coeff : real :=0.03;
signal result :real;
begin
process(coeff,reset,result)
begin
if(reset='1') then
result<=0.0;
Else
result <= Qcompt*coeff;
End if;
Qmult<=result;
End process;
end comportement;
_____________________________________________________
merci de m'aider
J'essai de faire un multiplieur sous quartus 2, et j'ai un message d'erreur come quoi il ne trouve pas la définition du "*".
Pourtant il me semble utiliser les bonnes librairies...voici mon code:
avez vs une idée??
________________________________________________
library ieee ;
USE ieee.std_logic_1164.all;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_ARITH.all;
----------------------------------------------------
Entity multiplieur is
port(Qcompt:in std_logic_vector(12 downto 0);
reset:in std_logic;
Qmult:out real);
End multiplieur;
----------------------------------------------------
architecture comportement of multiplieur is
variable coeff : real :=0.03;
signal result :real;
begin
process(coeff,reset,result)
begin
if(reset='1') then
result<=0.0;
Else
result <= Qcompt*coeff;
End if;
Qmult<=result;
End process;
end comportement;
_____________________________________________________
merci de m'aider