multiplication de fréquence VHDL

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

mat

multiplication de fréquence VHDL

Message par mat »

bonjour a tous
voila je dois multiplier par 10 une clock qui est un signal d'entrée std_logic à une fréquence de 10Mhz
dois je utiliser obligatoirement une pll pour accrocher la fréquence?
y a t'il d'autres solutions ?
sinon ou puis je trouver un code VHDL pour une pll (car je suis pas en mesure de coder un truc pareil!!!!) j'ai trouvé des bouts de codes mais cela reste des bouts de codes :)
merci d'avance
lamygale
REGULIER
REGULIER
Messages : 115
Inscription : 11 juin 2005 20:27
Localisation : Bordeaux - ENSEIRB - EirBot

Message par lamygale »

Tu as une ou plusieurs pll dans les fpga altera (ca doit etre pareil chez xilinx).
Si tu veux l'utiliser sous Quartus II, vas dans tools/megaplugin wizard manager .

Attention lis bien les datasheets et application notes pour voir quels sont les parametres de la pll (plage d'acroche, rapports de multiplication de frequence possibles , ... ).

En esperant que ca t'aide ...
La vie est une maladie mortelle sexuellement transmissible ;-)
Avatar de l’utilisateur
marsu
INSATIABLE
INSATIABLE
Messages : 155
Inscription : 13 juin 2005 11:08
Localisation : Paris
Contact :

Message par marsu »

Tu peux également le simuler sous Simulink (de Matlab) avec DSP builder (de altera) et si la simulation te convient tu peux directement convertire el tout en VHDL pour une cible de chez altera (toujout grace a DSP builder)
Répondre