WAIT FOR Quartus 2

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

JP
Administrateur
Administrateur
Messages : 2327
Inscription : 23 sept. 2003 19:14
Localisation : Strasbourg
Contact :

WAIT FOR Quartus 2

Message par JP »

Hello,

J'ai un petit problème à la ligne :

Code : Tout sélectionner

WAIT FOR 100ns;
J'ai l'erreur :

Code : Tout sélectionner

Error (10533): VHDL Wait Statement error at test.vhd(16): Wait Statement must contain condition clause with UNTIL keyword
Quartus 2 ne supporte pas WAIT FOR ?

merci

a+
JP
Ca clignote !!!!
lamygale
REGULIER
REGULIER
Messages : 115
Inscription : 11 juin 2005 20:27
Localisation : Bordeaux - ENSEIRB - EirBot

Message par lamygale »

En fait le wait for n'est pas synthetisable.
Ceci n'est à utiliser que dans des test bench ...

Il vaut mieux se faire sa tempo à coté.

du genre

Code : Tout sélectionner

tempo : process (clk, reset , s_lancer_tempo)
  variable v_compteur : natural range 0 to c_ma_tempo;
BEGIN
  
  IF reset = 0 THEN
      v_compteur := 0;
      s_tempo_effectuee <=  '0';
  ELSIF rising_edge(clk) THEN
      IF ( v_compteur = fin_comptage AND  s_lancer_tempo = '1' ) THEN
                  v_compteur := 0;
                   s_tempo_effectuee <= '1';
      ELSIF (  s_lancer_tempo = '1' ) THEN
                  v_compteur := v_compteur +1 ;
                  s_tempo_effectuee <=  '0';
      END IF;
  END IF;
END PROCESS tempo; 
La vie est une maladie mortelle sexuellement transmissible ;-)
JP
Administrateur
Administrateur
Messages : 2327
Inscription : 23 sept. 2003 19:14
Localisation : Strasbourg
Contact :

Message par JP »

Ok :merci!:
Ca clignote !!!!
Répondre