simulation sur projet navigator

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

franck
NOUVEAU
NOUVEAU
Messages : 2
Inscription : 21 janv. 2006 12:34

simulation sur projet navigator

Message par franck »

salut à tous . Comme je l'ai dit la dernière fois je suis un amateur en vhdl et j'ai une envie celle de la numérisation du filtre de kalman. Actuellement je suis coincé au niveau de la simulation sur projet navigator car un des intérêts de mon travail est de pouvoir générer la netlist. Ainsi donc, j'ai décidé de coder toutes mes données sur 8 bits. LorsQue je compile mon code source sur MODELSIM, il ne génère aucune erreur mais dès que j'essaie sur project navigator, lui également ne retrouve aucune erreur syntaxique mais trop de warning. Les warnings sont du genre "input is never use" et "signal is assigned but never use". Si quelqu'un sait pourquoi cela réagi de la sorte, prière de m'aider.
Répondre