VHDL : commentaires ?!

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

Avatar de l’utilisateur
Zera
REGULIER
REGULIER
Messages : 132
Inscription : 18 avr. 2006 13:03
Localisation : France - Alsace
Contact :

VHDL : commentaires ?!

Message par Zera »

Salut

je débute en VHDL, et j'ai une question des plus simples : existe-t-il des commentaires multi-lignes ? (comme les /* ... */ en C, ou en Verilog aussi il semble).

Suis-je condamné à mettre des -- devant chacune des mes ligens de commentaires ?!

Merci d'avance

BrIxI

Commentaires multilignes

Message par BrIxI »

Ce n'est malheureusement pas possible en VHDL contrairement au verilog.

Je n'ai pas trouvé d'astuce de code ni de raccourci Quartus.

Seul technique pour ignorer plusieurs lignes de codes en une fois => couper/coller dans un autre fichier en attendant.

Invité

Message par Invité »

Salut,

Faut que tu regardes dans ton soft. Je sais pas ce que tu utilises, mais dans ISE fundation par exemple, tu selectionnes la partie à commenter, puis tu fais alt+C. Pour décommenter: shift+alt+C.
Donc il existe des raccourcis utiles, mais effectivement, il n'y a malheureusement pas de truc du genre /*...*/

Avatar de l’utilisateur
Zera
REGULIER
REGULIER
Messages : 132
Inscription : 18 avr. 2006 13:03
Localisation : France - Alsace
Contact :

Message par Zera »

Merci pour l'astuce, c'est vrai que ça peut faire gagner du temps

Répondre