Page 1 sur 1

VHDL : commentaires ?!

Publié : 07 sept. 2006 23:24
par Zera
Salut

je débute en VHDL, et j'ai une question des plus simples : existe-t-il des commentaires multi-lignes ? (comme les /* ... */ en C, ou en Verilog aussi il semble).

Suis-je condamné à mettre des -- devant chacune des mes ligens de commentaires ?!

Merci d'avance

Commentaires multilignes

Publié : 13 nov. 2006 11:48
par BrIxI
Ce n'est malheureusement pas possible en VHDL contrairement au verilog.

Je n'ai pas trouvé d'astuce de code ni de raccourci Quartus.

Seul technique pour ignorer plusieurs lignes de codes en une fois => couper/coller dans un autre fichier en attendant.

Publié : 11 mars 2007 18:19
par Invité
Salut,

Faut que tu regardes dans ton soft. Je sais pas ce que tu utilises, mais dans ISE fundation par exemple, tu selectionnes la partie à commenter, puis tu fais alt+C. Pour décommenter: shift+alt+C.
Donc il existe des raccourcis utiles, mais effectivement, il n'y a malheureusement pas de truc du genre /*...*/

Publié : 11 mars 2007 18:28
par Zera
Merci pour l'astuce, c'est vrai que ça peut faire gagner du temps